Тип работы:
Предмет:
Язык работы:


Эмуляция и тестовый контроль цифровых устройств

Работа №130550

Тип работы

Бакалаврская работа

Предмет

физика

Объем работы30
Год сдачи2017
Стоимость4320 руб.
ПУБЛИКУЕТСЯ ВПЕРВЫЕ
Просмотрено
11
Не подходит работа?

Узнай цену на написание


Введение
Постановка задачи
Глава 1. Развитие схемотехники
1.1 История
1.2 Типы тестирования.
Глава 2. Построение программной модели
2.1 Язык описания логики элементов Verilog HD
2.2 Проектирование цифрового устройства с помощью
мультиплатформенной среды проектирования Altera Quartus II.................. 10
2.3 Система автоматизированного проектирования «SimTest» .................... 11
Глава 3. Составление программной модели объекта контроля........................ 13
3.1 Моделирование компонентов
3.2 Электронная модель схемы.
Глава 4. Тестирование
4.1 Тестирование логики элементов
4.2 Тестирование Субблок 714ПЭ3
Заключение
Список литературы

После создания первой микросхемы в 1960 году радиотехника и цифровая техника начали входить во все сферы человеческой деятельности.
Сейчас сложно представить жизнь без телефона, компьютера, микроволновой печи — все эти устройства включают в себя микросхемы, резисторы, транзисторы. Однако, как и в любой сфере серийного производства, при
изготовлении цифровых устройств присутствует брак, в связи с этим для их надежного функционирования необходима техническая диагностика и контроль каждого компонента.
Поиск неисправных элементов еще полностью не автоматизирован и является актуальной проблемой на сегодняшний день. Использование систем автоматизированного проектирования (САПР) тестов таких как «SimTest» (САПР, разработанный в СПбГУ [1,2]) и «Yastek», а также аппаратнопрограммного комплекса тестового контроля и диагностики УТК-512 позволяют существенно ускорить время проверки работоспособности
цифровых устройств. Цифровым устройством называют техническое приспособление, которое состоит из набора электронных компонентов и, основываясь на алгоритмах их работы, получает и обрабатывает входящую информацию. Под тестом устройства понимают набор входных и соответствующих им выходных данных, проверяющих компоненты устройства и связи между ними. После создания тест загружается в устройство тестового контроля и диагностики и используется для отбраковывания неисправных объектов контроля.
В работе представлен алгоритм построения программной модели на примере реальной схемы Субблок 714ПЭ3 в среде ALTERA QUARTUS II и создание тестовой программы с использованием САПР «SimTest».4
Постановка задачи
Задачей этой работы является создание теста для контроля работоспособности цифрового устройства.
В этом исследовании функциональность схемы устройства является неизвестной и считается полностью правильной, в нашем же распоряжении находятся лишь контакты входов и выходов, названия элементов, входящих в ее состав, а также связи между ними. Таким образом проверяется работоспособность элементов, находящихся на данной плате, и корректность связей между ним. В процессе выполнения работы необходимо выполнить следующие пункты:
1. Разработать программную модель каждого элемента устройства на языке описания аппаратных средств. В качестве среды разработки будет использоваться ALTERA QUARTUS II.
2. Осуществить создание программной модели самого цифрового устройства посредством объединения его отдельных элементов.
3. Создать тест для контроля работоспособности объекта контроля.
Разработка теста будет проводиться с использованием САПР «SimTest».

Возникли сложности?

Нужна помощь преподавателя?

Помощь студентам в написании работ!


В работе рассмотрены следующие типы тестирования:
 визуальный контроль;
 внутрисхемное тестирование;
 периферийное сканирование;
 функциональное тестирование.
Выполнение необходимых пунктов для написания тестовой программы реальной схемы реализовано на примере Субблок 714ПЭ3:
1. Описана логика работы входящих в ее состав микросхем: KP530LA1, KP530TM2, KP133ID3, KP1533SP1 и др. на языке Verilog HDL;
2. Разработана программная модель всех компонентов цифрового устройства с использование программы разработки: ALTERA QUARTUS II;
3. Произведена разработка программной модели самого цифрового устройства;
4. С использованием САПР «SimTest» создан тест для контроля исправности цифрового устройства.
Разработанная тестовая программа обладает тестовым покрытие 94%. Это объясняется существованием неизменяемых входов, подключенных к источникам постоянного напряжения


1. Мельник В., Гришкин В., Михайлов А., Овсянников Д. Тестовый контроль
и диагностика радиоэлектронной аппаратуры // Электроника: Наука,
технология, бизнес. 2013. Вып. 128. С. 114-117.
2. Мельник В., Гришкин В., Михайлов А., Овсянников Д. Методика
разработки тест-программ контроля и диагностики цифровых устройств с
использованием САПР SimTest // Электроника: Наука, технология, бизнес.
2013. Вып. 128. С. 118-124.
3. Малашевич Б.М. 50 лет отечественной микроэлектронике. Краткие основы
и история развития. М.: Техносфера, 2013. 800 с.
4. Барыбин А.А., Томилин В.И., Шаповалов В.И. Физико-технологические
основы макро-, микро- и наноэлектроники. М.: ФизМатЛит, 2011. 784 с.
5. Задорин А., Захаров Г. Система автоматизированного визуального
контроля печатных плат Aplite, 2002. Вып. 10. С. 53-56.
6. Иванов А. Выбор тестовой стратегии при производстве цифровой и
аналогово-цифровой техники. // Печатный монтаж. 2010. Вып. 2. С. 48-53.
7. Albee A. J. The evolution of ICT: PCB technologies, test philosophies, and
manufacturing business models are driving in-Circuit test evolution and
innovations // IPC APEX EXPO Conference and Exhibition 2013. No 1. P. 381–
401.
8. Иванов А. Периферийное сканирование в жизненном цикле изделия /
Производство электроники: технологии, оборудование, материалы. 2010.
Вып. 2. С 1-3.
9. Renbi A., Delsing J. Contactless Testing of Circuit Interconnects // Journal of
Electronic Testing: Theory and Applications, 2015, No 31. P. 229–253.
10.Wang R., Chakrabarty K., Eklow B. Scan-based testing of post-bond silicon
interposer interconnects in 2.5-D ICs // IEEE Transactions on ComputerAided
Design of Integrated Circuits and Systems, 2014. No 33. P. 1410–1423.30
11.Sangi R., Baranski M., Oltmanns J., Streblow R., Müller D. Modeling and
simulation of the heating circuit of a multi-functional building // Energy
andBuildings, 2016. No 110. P. 13–22.
12.Thoulath Begam V. M., Baulkani S. Compact test set method for high fault
coverage test pattern generation // International Journal of Applied Engineering
Research, 2015. Vol. 10, No 55. P. 453–458.
13.Ghiduk A. S. Automatic generation of basis test paths using variable length
genetic algorithm // Information Processing Letters, 2014. Vol. 114, No 6. P.
304–316.
14.Кондратенко Ю.П., Мохор В.В., Сидоренко С.А. Verilog-HDL для
моделирования и синтеза цифровых электронных схем. Н.: НГГУ им. Петра
Могилы, 2002. 207 с.
15.Комолов Д.А., Мяльк Р.А., Зобенко А.А. Филиппов А.С. Системы
автоматизированного проектирования фирмы Altera MAX+Plus II и Quartus
II. Краткое описание и самоучитель. М.:Радиософт, 2002, 361 с.
16.Угрюмов Е.П. Цифровая схемотехника. Изд. 3. СПб.: БХВ-Петербург,
2010. 816 с.
17.http://www.datasheet-pdf.ru/1533/1533pdf/1533LA1.pdf
18.http://www.datasheet-pdf.ru/1533/1533pdf/1533TM2.pdf
19.http://www.datasheet-pdf.ru/1533/1533pdf/1533ID3.pdf
20.http://www.datasheet-pdf.ru/1533/1533pdf/1533SP1.pdf
21.Шило В. Л. Популярные цифровые микросхемы. М.: Радио и связь, 1987.
352 с.

Работу высылаем на протяжении 30 минут после оплаты.



Подобные работы


©2024 Cервис помощи студентам в выполнении работ